Equipment List

Equipment Name Contact Location Techniques Research Core
Equipment Name Contact Location Techniques Research Core
Kratos Supra+ XPS
  • Richard T Haasch r-haasch
  • Mohammad Amdad Ali mali85
B08 MRL
  • X-Ray Photoelectron Spectroscopy
  • Surface Analysis

The Kratos Supra+ XPS features: Excitation Sources Dual Anode X-ray Source: Mg, Al Monochromatic Xray Source: Al Detection System Small Area Extraction Optics: Hybrid Spherical Capacitor Electron Energy Analyzer for Spectroscopy and 2-D Imaging

See More Details

Nanoparticle tracking NS300
  • Roddel Remy raremy
133 MRL
  • Center for Excellence in Soft Materials
  • Thermal Analysis and Soft Materials Characterization

Nanoparticle Tracking Analysis (NTA) measures the light scattered by individual particles undergoing Brownian motion in a liquid. The particles are tracked in real-time, allowing high-resolution particle size analysis. In addition, the particles within the cell volume are counted to obtain particle concentration. The NanoSight NS300 employs the NTA technique allowing for the analysis of particles up to 1 µm in diameter within a 250 µL cell volume. 

See More Details

Thermo Nicolet iS50 FTIR
  • Julio Antonio Nieri D Soares soares
  • Mohammad Amdad Ali mali85
0024 Supercon
  • Spectroscopy
  • Laser and Spectroscopy

The Thermo Nicolet iS50 Fourier transform infrared and Raman spectrophotometer is equipped to measure transmission, specular and diffuse reflection, diffuse transmission, and attenuated total reflection (ATR) in the mid-IR. Ranges vary for different accessories within 400 cm-1 to 7800 cm-1. FT-Raman can be measured with an excitation of 1064 nm.

See More Details

ADT 7122 Wafer Dicer
  • Toyanath Joshi tojoshi
  • Pengcheng Sun pcsun
326 MRL
  • Additional Micro/Nanofabrication Resources
  • Micro/Nano Fabrication and Cleanroom

The Advanced Dicing Technology (ADT) 7122 is an automatic wafer dicer with an 8" diameter (or 200 mm x 200 mm square area) dicing area.

See More Details

Beckman Optima LE-80K Ultracentrifuge
  • Kristen M Flatt flatt1
SuperCon 0014
  • EM Support Instruments
  • Electron Microscopy

The Beckman Optima™ Ultracentrifuge is a preparative ultracentrifuge that can be used to quickly separate particles according to their densities.

See More Details

ThermoFisher Glacios Cryo-TEM
  • Kristen M Flatt flatt1
  • Ying He yinghe2
0026 Supercon
  • Transmission and Scanning Transmission Electron Microscopy (TEM/STEM)
  • Electron Microscopy

The ThermoFisher Glacios is a high-end, 200kV X-FEG cryogenic transmission electron microscope (CryoTEM) optimized for Single Particle Analysis (SPA), electron tomography, and micro-electron diffraction (microED). The Glacios is equipped with industry-leading Autoloader grid manipulation technology controlled by the ThermoFisher EPU software, allowing users to assess sample quality in a contamination-free environment. The microscope is also equipped with a Volta Phase Plate and Falcon4 Direct Electron Detector, making the Glacios both a stand-alone system for high-resolution SPA data acquisition, and a tool for pre-optimization of sample quality before transfer to a Krios CryoTEM system.

See More Details

Novocontrol Concept 47 Analyzer
  • Roddel Remy raremy
133 MRL
  • Center for Excellence in Soft Materials
  • Thermal Analysis and Soft Materials Characterization

The Novocontrol Analyzer is designed for the electric/dielectric characterization of materials. Frequency response analysis, dielectric/impedance spectroscopy and conductivity spectroscopy can be performed with this instrument. This modular system features the Novocontrol Alpha-A frequency response analyzer combined with PHECOS Lite temperature control system. Samples are sandwiched between two circular, gold plated electrodes, then placed into the instrument for testing. Results can be analyzed as measured or re-plot to fit various equations such as Arrhenius or Cole-Cole plots. Parameters for the Concept 47 system are listed below.

Frequency range – 3 µHz – 20 MHz

Temperature range – -35 °C – 150 °C

Sample diameter options – 40 mm, 30 mm, 20 mm

Electrode configurations – 2-wire modes available

See More Details

Metrohm 917 Coulometer (Coulometric method)
  • Roddel Remy raremy
133 MRL
  • Center for Excellence in Soft Materials
  • Thermal Analysis and Soft Materials Characterization

Karl Fischer (KF) Titration is a widely used technique for the quantification of water content in materials. The water content is identified through a chemical reaction that directly consumes water. The fundamental chemical process is called the Bunsen Reaction and is given below:

2H2O + SO2 + I2 à  H2SO4 + 2HI

From the reaction equation it is clear that the amount of water in the reaction is directly proportional to the amount of iodine required to consume it. Water content in samples can therefore be directly and accurately obtained by measuring the amount of iodine used in the reaction. The MRL has two compact instruments available for KF Titration experiments. This combination enables water content analysis from 100% water down to parts-per-million (ppm) levels.

See More Details

Metrohm 915 KF Ti-Touch (Volumetric Method)
  • Roddel Remy raremy
133 MRL
  • Center for Excellence in Soft Materials
  • Thermal Analysis and Soft Materials Characterization

Karl Fischer (KF) Titration is a widely used technique for the quantification of water content in materials. The water content is identified through a chemical reaction that directly consumes water. The fundamental chemical process is called the Bunsen Reaction and is given below:

2H2O + SO2 + I2 à H2SO4 + 2HI

From the reaction equation it is clear that the amount of water in the reaction is directly proportional to the amount of iodine required to consume it. Water content in samples can therefore be directly and accurately obtained by measuring the amount of iodine used in the reaction. The MRL has two compact instruments available for KF Titration experiments. This combination enables water content analysis from 100% water down to parts-per-million (ppm) levels.

See More Details

Quantum Design MPMS3 SQUID Magnetometer B
  • Gregory MacDougall gmacdoug
  • Juan Sebastian Lopez jslopez
410 MRL
  • Magnetization
  • Physical Properties Measurement
Quantum Design PPMS Dynacool B
  • Gregory MacDougall gmacdoug
  • Juan Sebastian Lopez jslopez
410 MRL
  • Electrical transport
  • Magnetization
  • Physical Properties Measurement
Quantum Design PPMS Dynacool
  • Gregory MacDougall gmacdoug
  • Juan Sebastian Lopez jslopez
410 MRL
  • Electrical transport
  • Heat Capacity
  • Thermal Transport
  • Physical Properties Measurement
Quantum Design MPMS3 SQUID Magnetometer
  • Gregory MacDougall gmacdoug
  • Juan Sebastian Lopez jslopez
410 MRL
  • Magnetization
  • Physical Properties Measurement
TA DHR-3 Rheometer
  • Roddel Remy raremy
133 MRL
  • Center for Excellence in Soft Materials
  • Thermal Analysis and Soft Materials Characterization
UV/Ozone Cleaner
  • Allison Sibert sibert
  • Timothy Spila tspila
B59 MRL
  • EM Support Instruments
  • Electron Microscopy

It is a very simple cleaning technique used in air at ambient temperatures.  The tool can accommodate a full size silicon wafer which can be placed close to the UV source to remove unwanted organic contamination.  It is operated in a fume hood due to ozone production and with the door closed due to the UV light.  There is one power switch which can be turned on as long as the user needs for sample cleaning but must be manually turned off when finished.

 

See More Details

Heidelberg MLA150 aligner for maskless photolithography
  • Xiaoli Wang xlwang84
  • Pengcheng Sun pcsun
388 MRL
  • Lithography
  • Micro/Nano Fabrication and Cleanroom

The Heidelberg MLA 150 Maskless Aligner is a high-speed direct-write photolithography tool.  It does not physically contact the substrate, uses a micro-mirror array to expose the pattern only in the desired locations.

See More Details

Westbond 4KE wire bonder
  • Xiaoli Wang xlwang84
  • Gregory MacDougall gmacdoug
326 MRL
  • Bonding Stations
  • Micro/Nano Fabrication and Cleanroom

Wire bonding is a method of connecting metallic wires to electronic devices. The wire bonds are performed using ultrasonic transducers that convert a high frequency electronic signal to mechanical energy in the ultrasonic range (63kHz).

See More Details

SCS Labcoter2 Parylene Coater
  • Pengcheng Sun pcsun
  • Toyanath Joshi tojoshi
326 MRL
  • Deposition Tools
  • Micro/Nano Fabrication and Cleanroom

The SCS Labcoter2 Parylene deposition system performs reliable and repeatable Parylene conformal coatings to many different types of components such as circuit boards, sensors, wafers, medical devices, MEMS and elastomeric components. Parylene coatings provide a highly effective chemical and moisture barrier with high dielectric and mechanical strength.

See More Details

Hydrogen Vacuum Furnace – Max Temp. 1000°C
  • Lon Alan Westfall lonw
  • Gregory MacDougall gmacdoug
322 MRL
  • Diffusion / Annealing Furnaces
  • Furnace Equipment
  • Micro/Nano Fabrication and Cleanroom

The Hydrogen Vacuum Furnace is dedicated to annealing of materials under hydrogen and vacuum environment.

See More Details

Graphene Furnace - Max Temp. 1000°C
  • Lon Alan Westfall lonw
  • Gregory MacDougall gmacdoug
322 MRL
  • Diffusion / Annealing Furnaces
  • Furnace Equipment
  • Micro/Nano Fabrication and Cleanroom

The Graphene Furnace is solely dedicated to the growth of high-quality graphene and carbon nanotube materials.

 

See More Details

Cameca LEAP 5000XS Atom Probe Tomography
  • Timothy Spila tspila
  • Wacek Swiech wswiech
B01 MRL
  • Atom Probe Tomography
  • Surface Analysis

The Cameca Local Electrode Atom Probe (LEAP) 5000XS uses field evaporation of atoms from a needle-shaped specimen to generate three-dimensional (3D) information at near atomic resolution and possessing chemical sensitivity that can reach parts per million.

See More Details

Tosoh EcoSEC 8320 GPC System
  • Roddel Remy raremy
133 MRL
  • Center for Excellence in Soft Materials
  • Thermal Analysis and Soft Materials Characterization
AJA E-beam evaporator 1
  • Pengcheng Sun pcsun
  • Toyanath Joshi tojoshi
348 MRL
  • Deposition Tools
  • Micro/Nano Fabrication and Cleanroom

AJA E-beam evaporator 1 has an electron beam gun assembly with six source crucibles.

See More Details

AJA Orion-8 Sputtering System for Magnetic Materials
  • Toyanath Joshi tojoshi
  • Pengcheng Sun pcsun
336 MRL
  • Deposition Tools
  • Micro/Nano Fabrication and Cleanroom
Bruker D8 Advance XRD/XRR System with High-Temperature Sample Stage
  • Juan Sebastian Lopez jslopez
  • Mohammad Amdad Ali mali85
148 MRL
  • X-Ray Diffraction (XRD) and Scattering
  • X-Ray Analysis

The D8 diffractometer employs a multiple configurations which can be easily selected from the instrument control software between Bragg-Brentano focusing configuration, parallel beam configuration and high-resolution configuration for the most common x-ray diffraction methods and reflectometry.

See More Details

Two-Color Time Domain Thermoreflectance - TRMOKE
  • Julio Antonio Nieri D Soares soares
  • Mohammad Amdad Ali mali85
0024 Supercon
  • Spectroscopy
  • Laser and Spectroscopy

This equipment was funded through the Illinois MRSEC, NSF Award Number DMR-2309037.

TDTR is a modality of femtosecond pump/probe spectroscopy. It is used to observe optically-induced changes in optical properties on a 100’s of fs time-scale.

See More Details

Leica Cryo Ultramicrotome UC6/FC6
  • Roddel Remy raremy
  • Kristen M Flatt flatt1
0011 Supercon
  • EM Support Instruments
  • Electron Microscopy

Room-temperature and cryo-sectioning of biological and industrial specimens

See More Details

Fischione 2550 Cryo Transfer Tomography Holder
  • Wacek Swiech wswiech
  • Changqiang Chen cqchen
0011 Supercon
  • EM Support Instruments
  • Electron Microscopy

A single-tilt holder for cryo transfer and tomography of thin-film frozen-hydrated/vitrified specimens. For transmission electron microscopes (TEMs) with a wider gap pole piece (an approx. 5 mm gap for tomographic studies)

See More Details

Gatan Precision Etching Coating System (PECS)
  • Changqiang Chen cqchen
  • Jade Wang zyw
B59 MRL
  • EM Support Instruments
  • Electron Microscopy

The Model 685.O precision etching coating system (PECS™) II is a table top broad beam argon milling tool for polishing as well as coating samples.

See More Details

Keyence VK-X1000 3D Laser Scanning Confocal Microscope
  • Julio Antonio Nieri D Soares soares
  • Kathy Walsh kawalsh
B80 MRL
  • Microscopy
  • Laser and Spectroscopy

The Keyence VK-X1000 employs a 404 nm laser to map and measure the surface of samples through confocal scanning or widefield focus variation.

See More Details

ThermoFisher Scientific Talos F200X G2 Scanning Transmission Electron Microscope (S/TEM)
  • Changqiang Chen cqchen
  • Honghui Zhou hhzhou
B70C MRL
  • Transmission and Scanning Transmission Electron Microscopy (TEM/STEM)
  • Electron Microscopy

The Talos F200X G2 is a 200 kV FEG Scanning Transmission Electron Microscope (S/TEM) that combines outstanding quality in high-resolution STEM and TEM imaging with high throughput EDS signal detection and 3D chemical characterization with compositional mapping. It is designed for fast, precise and quantitative characterization of nano-materials. It accelerates nano-analysis of materials based on higher data quality, faster acquisition, and simplified and automated operation. The system’s Constant-Power™ X-TWIN lens delivers outstanding optical performance to help ensure an optimal balance of contrast and resolution.

See More Details

FEI Themis Z Advanced Probe Aberration Corrected Analytical TEM/STEM
  • Changqiang Chen cqchen
  • Honghui Zhou hhzhou
B66 MRL
  • Transmission and Scanning Transmission Electron Microscopy (TEM/STEM)
  • Electron Microscopy

The FEI Themis Z is and advanced analytical scanning/transmission electron microscope (STEM/TEM) from Thermo Fisher Scientific Company that operates between the electron energy of 60 to 300 keV with a Schottky electron emitter, an electron energy monochromator, and a 5th order probe spherical aberration corrector.

See More Details

Oxford OptistatDry
  • Julio Antonio Nieri D Soares soares
  • Mohammad Amdad Ali mali85
0024 Supercon
  • Cryostats
  • Laser and Spectroscopy

The Oxford OptistatDry BLV is a bottom-loading cryostat for spectroscopy consists of a compact cryostat with optical access, cooled by a closed-cycle refrigerator. This cryogen free cryostat is capable of cooling samples to under 5 K without the need for liquid cryogens.

See More Details

Montana Cryostation s200
  • Julio Antonio Nieri D Soares soares
  • Mohammad Amdad Ali mali85
0024 Supercon
  • Cryostats
  • Laser and Spectroscopy

The Montana Cryostation s200 is a closed-cycle optical cryostat used to control the temperature and vacuum parameters of a 200 mm-diameter integrated sample environment to under 5 K without the need for liquid cryogens.

See More Details

Oriel Solar Simulator
  • Julio Antonio Nieri D Soares soares
  • Mohammad Amdad Ali mali85
148 MRL
  • Light Sources
  • Spectroscopy
  • Laser and Spectroscopy

This ABB solar simulator provides uniform illumination over an area of 2” x 2” at an output of 1 SUN.

See More Details

Hysitron PI 95 In-Situ TEM Picoindenter
  • Changqiang Chen cqchen
  • Honghui Zhou hhzhou
0011 Supercon
  • EM Support Instruments
  • Electron Microscopy

The Hysitron (now Bruker) PI 95 In-Situ TEM Picoindenter is for quantitative, direct-observation, nanomechanical testing inside TEM.

See More Details

FEI Vitrobot Mark III
  • Kristen M Flatt flatt1
  • Wacek Swiech wswiech
B59 MRL
  • EM Support Instruments
  • Electron Microscopy

The FEI Vitrobot (Vitrification Robot) Mark III (Type FP 5350/61) is a fully PC-controlled cryo-plunger for vitrification (= rapid cooling) of aqueous samples used later in cryo-electron microscopy (typically in JEOL JEM-2100 TEM).

See More Details

Polaron Carbon String Coater
  • Changqiang Chen cqchen
B59 MRL
  • EM Support Instruments
  • Electron Microscopy

The Polaron Carbon String Coater use carbon rod and carbon string as the evaporation source respectively.

See More Details

Tergeo-EM Plasma Cleaner
  • Changqiang Chen cqchen
  • Honghui Zhou hhzhou
B62 MRL
  • EM Support Instruments
  • Electron Microscopy

The Tergeo-EM plasma cleaner can be used to remove hydrocarbon contaminations on TEM and SEM samples.

See More Details

Princeton Scientific WS-25 Precision Wire Saw
  • Honghui Zhou hhzhou
  • Changqiang Chen cqchen
B56 MRL
  • EM Support Instruments
  • Electron Microscopy

The Princeton WS-25 Precision Wire Saw can perform cuts using a  diamond dotted wire as well as with free abrasive method by using thin tungsten wire moistened with an oil or glycerin-suspended abrasive slurry.

See More Details

Fischione 1020 plasma cleaner
  • Changqiang Chen cqchen
B70 MRL
  • EM Support Instruments
  • Electron Microscopy

The Fischione 1020 plasma cleaner can be used for gently removed organic contamination from both TEM and SEM samples and specimen holders by using Argon-oxygen high frequency plasma. The plasma cleaner has an automated timer control and accepts TEM specimen holders and SEM holders.

See More Details

Cressington Carbon Coater 108carbon/A
  • Jade Wang zyw
B59 MRL
  • EM Support Instruments
  • Electron Microscopy

The Cressington 108 carbon coaters use carbon rod as the evaporation source. It is generally used for coating SEM or TEM samples where metal coatings need to be avoided. It offers the choice of either manual or automatic evaporation. In manual mode the evaporation source can be operated in "pulse" or "continuous" modes.

See More Details

Au-Pd Sputter Coater - Emitech K575
  • Jade Wang zyw
0018 Supercon
  • EM Support Instruments
  • Electron Microscopy

The Au-Pd sputter coater is used for producing an even coating on the surface of the specimen. The coating will help inhibit charging, reduce thermal damage, and improve secondary electron emission which are beneficial for Scanning Electron Microscopy.

See More Details

Au-Pd Sputter Coater - Emscope SC 500
  • Jade Wang zyw
0021 Supercon
  • EM Support Instruments
  • Electron Microscopy

The Au-Pd sputter coater is used for producing an even coating on the surface of the specimen. The coating will help inhibit charging, reduce thermal damage, and improve secondary electron emission which are beneficial for Scanning Electron Microscopy.

See More Details

Allied MultiPrep System
  • Honghui Zhou hhzhou
B56 MRL
  • EM Support Instruments
  • Electron Microscopy

The MultiPrep™ System enables precise semiautomatic sample preparation of a wide range of materials for microscopic (optical, SEM, TEM, AFM, etc.) evaluation. It can be used for parallel polishing or angled polishing.

See More Details

Syntron vibratory polishers (two identical pieces)
  • Changqiang Chen cqchen
  • Honghui Zhou hhzhou
B56 MRL
  • EM Support Instruments
  • Electron Microscopy

Vibratory polishing is an effective final polishing technique that is widely used with metals that are difficult to polish. The vibrations in both vertical and horizontal directions produced by electromagnetic forces induce the sample to move about its axis and around the cloth periphery. The vibration amplitude is adjusted to a level just adequate to produce smooth movement around the bowl. The gentle polishing greatly reduced the surface residual stress and strain.

See More Details

MRI 150 low speed diamond blade saw
  • Changqiang Chen cqchen
B56 MRL
  • EM Support Instruments
  • Electron Microscopy

The MRI-150 low-speed diamond saw equips with a digital micrometer and digital speed display controller. It is a tool for cutting/dicing/slicing materials (metals, ceramic, etc) up to an inch thickness. Cutting fluid is drawn from the reservoir by the blade to cool the sample.

See More Details

Allied TechCut 4™ Precision Low Speed Saw
  • Changqiang Chen cqchen
B56 MRL
  • EM Support Instruments
  • Electron Microscopy

The TechCut 4™ is a precision low speed saw excellent for cutting smaller, delicate samples. The pivoting cutting arm has adjustable weights to apply or counterbalance downward force to the sample during sectioning. Cutting fluid is drawn from the reservoir by the blade to cool the sample. With a 3" to 6" blade range, samples up to 2" thick can be sectioned.

See More Details

Well 3241 Precision Diamond Wire Saw
  • Changqiang Chen cqchen
  • Honghui Zhou hhzhou
B56 MRL
  • EM Support Instruments
  • Electron Microscopy

The Well Precision Diamond Wire Saw is used for precise cutting of small samples. It uses a stainless steel wire with diamonds embedded into the surface of the wire as the “cutting tool”. The wire is wound onto a drum which mounts onto a precision reciprocating motor. The wire diameters range from 0.06mm (0.002 in.) to 0.70mm (.028 in.).

See More Details

Gatan 656 Dimple Grinder
  • Changqiang Chen cqchen
B56 MRL
  • EM Support Instruments
  • Electron Microscopy

It is fast and reliable mechanical method of pre-thinning TEM sample to near electron transparency to greatly reduce ion milling times and uneven thinning. Advantages includes: Large transparent areas; stronger specimen with a thick supporting rim to protect and strengthen the specimen after dimpling; accurate depth and thickness control.

See More Details

Gatan 656 Disc Grinder System
  • Changqiang Chen cqchen
B56 MRL
  • EM Support Instruments
  • Electron Microscopy
Other Polishers
  • Changqiang Chen cqchen
  • Honghui Zhou hhzhou
B56 MRL
  • EM Support Instruments
  • Electron Microscopy

Buehler MetaServ 250 (2 identical pieces), Room MRL B56

See More Details

SLM/ISS Fluorometer
  • Julio Antonio Nieri D Soares soares
  • Mohammad Amdad Ali mali85
148 MRL
  • Spectroscopy
  • Laser and Spectroscopy

Measures photo-excited fluorescence of liquid samples. Excitation ranges from 200 nm to 800 nm. Emission between 200 nm and 900 nm. Samples can be heated or cooled from -20°C to 100°C.

See More Details

OL 750 Spectroradiometer
  • Julio Antonio Nieri D Soares soares
  • Mohammad Amdad Ali mali85
148 MRL
  • Spectroscopy
  • Laser and Spectroscopy

The OL 750 is a spectroradiometric measurement system for internal and external quantum efficiencies (IQE, EQE). It is equipped with a white light bias accessory that allows solar cells' active area to be brought up to a typical operating energy level while simultaneously measuring the spectral response.

See More Details

Newport Solar Simulator
  • Julio Antonio Nieri D Soares soares
  • Mohammad Amdad Ali mali85
148 MRL
  • Light Sources
  • Spectroscopy
  • Laser and Spectroscopy

Measures I-V curves under solar spectrum or monochromatic illumination.

See More Details

Zeiss LSM7 Live Confocal Fluorescence Microscope
  • Kristen M Flatt flatt1
  • Julio Antonio Nieri D Soares soares
  • Ying He yinghe2
0014 Supercon
  • Microscopy
  • Spectroscopy
  • Laser and Spectroscopy

The Zeiss LSM 7 Live confocal fluorescence line-scanning microscope is a fast imaging system capable of 120 frames per second at 512 x 512 pixels, which enables analyzing high-speed processes with a time resolution of a few microseconds.

See More Details

Thermo Scios2 Dual-Beam SEM/FIB
  • Honghui Zhou hhzhou
  • Changqiang Chen cqchen
B58 MRL
  • Focused Ion Beam (FIB) FIB/SEM DualBeam Systems
  • Electron Microscopy

Fast and easy preparation of high-quality, site-specific, TEM and atom probe samples using the Sidewinder HT ion column and EasyLift™ system for precise milling and in-situ sample manipulation and lift-out. To achieve the high-quality results, final polishing with low-energy ions is required to minimize surface damage on the sample. The Sidewinder HT provides high-resolution imaging and milling at high voltages, but has also good low-voltage performance (to 500V), enabling the creation of high-quality TEM lamella.

See More Details

Shimadzu DTA-50 Differential Thermal Analyzer (DTA)
  • Roddel Remy raremy
  • Mohammad Amdad Ali mali85
133 MRL
  • Center for Excellence in Soft Materials
  • Thermal Analysis and Soft Materials Characterization

Differential Thermal Analyzer (DTA) uses a two-pan system to measure the heat flux difference between an empty pan (or one with a reference material) against the temperature of a sample of specific weight. The DTA-50 system uses temperatures in the range between room temperature and around 1400 oC to determine thermal transitions such as glass transitions, crystallization, phase changes, melting, stability, cure kinetics, etc. While it is not as sensitive as a differential scanning calorimetry (DSC), it is more versatile for harder materials, as our DSC system cannot operate above 400oC. The DTA-50 instrument is calibrated to 1100oC for accuracy.

See More Details

Raith EBPG5150 100-kV e-Beam Lithography System
  • Xiaoli Wang xlwang84
  • Pengcheng Sun pcsun
364 MRL
  • Lithography
  • Micro/Nano Fabrication and Cleanroom

The Raith EBPG5150 Electron Beam Lithography System is an ultra-high performance e-beam writer for nanometer-scaled device fabrication.

See More Details

Temescal Ebeam Evaporator 4
  • Pengcheng Sun pcsun
  • Toyanath Joshi tojoshi
348 MRL
  • Deposition Tools
  • Micro/Nano Fabrication and Cleanroom

Temescal Ebeam evaporator 4 has an electron beam gun assembly with six automatically selected source crucibles. Currently approved deposition materials are metals only including Au, Ag, Pt, Pd, Cu, Cr, Ti, Ni, Fe, Al. The maximum thickness of a single layer is limited to 300 nm. Thirteen 4-inch wafers or sample holders can be accommodated in the loadlock chamber for line-of-sight deposition. Deposition rate and thickness of material are monitored and controlled automatically. The system is fully automated with computer interface. Programmable deposition recipes are provided.

See More Details

Temescal Ebeam Evaporator 2
  • Pengcheng Sun pcsun
  • Toyanath Joshi tojoshi
348 MRL
  • Deposition Tools
  • Micro/Nano Fabrication and Cleanroom

Temescal Ebeam evaporator 2 has an electron beam gun assembly with six manually selected source crucibles. Currently approved deposition materials are metals only including Ag, Cu, Cr, Ti, Al, Ni, Fe, Si, Ge. The maximum thickness of a single layer is limited to 200 nm. 13 4-inch wafers or sample holders can be accommodated in the loadlock chamber for line-of-sight deposition. Deposition rate and thickness of material are monitored. Power of ebeam for deposition is controlled manually by user.

See More Details

Harrick Plasma Oxygen Cleaner
  • Xiaoli Wang xlwang84
326 MRL
  • Dry Etching
  • Micro/Nano Fabrication and Cleanroom

The Harrick Plasma PDC-32G Cleaner is low-cost bench-topinductively coupled plasma device. It may be employed in a broad range of surface engineering applications, including nanoscale surface cleaning, surface preparation and surface modification/treatment.

See More Details

Raith eLine Electron Beam Lithography System
  • Xiaoli Wang xlwang84
  • Pengcheng Sun pcsun
364 MRL
  • Lithography
  • Micro/Nano Fabrication and Cleanroom

Raith eLine EBL system is an electron beam lithography system that has a ZEISS SEM column equipped with a Thermal Field Emission electron gun. The accelerating voltage can be operated at 10 - 30kV. It is capable of patterning ultra fine features with resolution down to 10 nm in PMMA ebeam resist. It has a standard 30 μm aperture along with 7.5 up to 120 µm aperture. Standard write field size is 100 μm and ranges between 1µm to 1 mm. Field stitching error is typically less than 40 nm. Standard sample holder can accommodate up to 5” wafer. Eight sample clips can be used for smaller sample with sample size from 1 to 3 cm. GDSII CAD file is standard file format and can be converted from other CAD files such as Autocad DXF file.

See More Details

AJA ATC2000 Sputter Coater 1
  • Toyanath Joshi tojoshi
  • Pengcheng Sun pcsun
336 MRL
  • Deposition Tools
  • Micro/Nano Fabrication and Cleanroom

AJA sputter coater 1 is an AJA ATC 2000 sputter system with four A320 XP UHV magnetron sputter guns capable of sputtering conductive and dielectric materials using DC and RF Argon plasma. Co-sputtering (up to three guns, two DC and one RF power supply) is available. The system accommodates 2” targets (1/4” thick) including various materials such as Cr, Ti, Cu, Mo, Al, Ag, Mg, Ni, Fe, Co, Si, Ge, W, ITO, SiO2, Si3N4 and MgO. Sample holder size is 4” in diameter. Substrate heating is available at up to 400 C. Reactive sputtering with O2 and N2 is also available.

See More Details

AJA Orion 3 Sputter Coater 2
  • Toyanath Joshi tojoshi
  • Pengcheng Sun pcsun
326 MRL
  • Deposition Tools
  • Micro/Nano Fabrication and Cleanroom

AJA sputter coater 2 is an AJA ORION 3 sputter system with three ST20 ORION magnetron sputter guns capable of sputtering conductive and dielectric materials using DC and RF Argon plasma. Co-sputtering (up to three guns, two DC and one RF power supply) is available. The system accommodates 2” targets (1/4” thick) including various materials such as Cr, Ti, Cu, Mo, Al, Ag, Pt, Pd, Mg, Ni, Fe, Co, Si, Ge, W, ITO, SiO2, Si3N4 and MgO. Sample holder size is 4” in diameter. Reactive sputtering with O2 is available.

See More Details

Optics 11 Life Piuma Nanoindenter
  • Kathy Walsh kawalsh
0013 Supercon
  • Nanoindentation
  • Scanning Probe Microscopy

The Optics 11 Life Piuma soft materials nanoindenter uses cantilever-based nanoindentation (similar to AFM force curves) to determine the Young’s modulus of samples ranging from a few tens of Pascals to 1 GPa. The Piuma is generally more user-friendly and versatile to work with than a traditional AFM, especially for biological samples, without compromising data quality. Operation in air or fluid and automated grids of indentations are simple to set up. Probes are constructed with spherical borosilicate glass tips ranging from 6 – 500 µm diameter (similar to colloidal probe AFM design).

(Please inquire in advance whether your samples satisfy the biosafety level requirements of the MRL).

Sample Conditions Supported by the Piuma:

  • Dry, Wet, or Submerged in Liquid
  • Size range: From 100 µm diameter to 12 mm on a side
  • Shape: Flat, curved, complex topography, porous
  • Young’s Modulus / Stiffness range: 5 Pa to 1 GPa

Piuma Technical Specifications

  • Probe Tip Sizes: 6 – 500 µm diameter borosilicate spheres
  • Probe Cantilever Spring Constant: k = 0.03 N/m – 150 N/m
  • Force Sensitivity Range: 1 nN – 2 mN
  • Maximum Piezo Motor Displacement: 20 µm
  • Stage Motors (X,Y,and Z-axes): 1 µm minimum, 12 mm maximum displacement
  • Single Indentation or Surface Mapping
  • Automated Find Surface
  • Measurement Mode: Quasi-Static (Closed Loop Piezo Motor Displacement)
  • Camera: 10x magnification, overhead view

See More Details

JEOL JEM-1400 TEM
  • Kristen M Flatt flatt1
  • Jade Wang zyw
0021 Supercon
  • Transmission and Scanning Transmission Electron Microscopy (TEM/STEM)
  • Electron Microscopy

The JEM-1400 uses a LaB6 filament electron gun with acceleration up to 120 kV and is also capable of lowering it down to 40 kV if required (in order to minimize sample radiation where necessary). The tool is capable of a point-to-point resolution down to 0.38 nm and lattice imaging down to 0.2 nm.

See More Details

Molecular Vista PiFM-Raman
  • Kathy Walsh kawalsh
  • Julio Antonio Nieri D Soares soares
0022 Supercon
  • Atomic Force Microscopy (AFM)
  • Microscopy
  • Scanning Probe Optical Spectroscopy
  • Spectroscopy
  • Laser and Spectroscopy
  • Scanning Probe Microscopy

The Vista One PiFM-Raman microscope from Molecular Vista enables nano-IR measurements with very high resolution (~10nm lateral resolution for mapping and spectroscopy) in the wavenumber range 1908 - 752 cm-1.

See More Details

ThermoFisher Axia ChemiSEM
  • Jade Wang zyw
0018 Supercon
  • Scanning Electron Microscopy (SEM)
  • Electron Microscopy

The Axia ChemiSEM is a new generation of scanning electron microscope, designed to provide the most efficient SEM-EDS user experience possible. Low-vacuum mode will provide several advantages when dealing with non-conductive samples; not only does it enable charge-free imaging, but it also allows an increase in the material contrast and the use of higher beam currents to perform chemical analyses.

See More Details

Horiba XploRA-nano TERS/TEPL
  • Julio Antonio Nieri D Soares soares
  • Kathy Walsh kawalsh
0022 Supercon
  • Atomic Force Microscopy (AFM)
  • Microscopy
  • Scanning Probe Optical Spectroscopy
  • Spectroscopy
  • Laser and Spectroscopy
  • Scanning Probe Microscopy

This equipment was funded through the Illinois MRSEC, NSF Award Number DMR-2309037.

The XploRA PLUS Raman Microscope head-based tip-enhanced Raman spectroscopy (TERS) and tip enhanced photoluminescence (TEPL) from Horiba, Inc. is a fully integrated TERS/TEPL system based on SmartSPM state of the art scanning probe microscope (SPM) and XploRA Raman micro-spectrometer.

See More Details

Time-Resolved Photoluminescence
  • Julio Antonio Nieri D Soares soares
  • Mohammad Amdad Ali mali85
0024 Supercon
  • Spectroscopy
  • Laser and Spectroscopy

Enables time-resolved measurements of luminescent emission spectra upon photoexcitation (fluorescence and phosphorescence) to determine the lifetime of emitting states with single photon sensitivity and < 1 ns temporal resolution. Several excitation wavelengths are available in the range from 380 nm to 900 nm.

See More Details

Time-Domain Thermoreflectance
  • Julio Antonio Nieri D Soares soares
  • Mohammad Amdad Ali mali85
0024 Supercon
  • Spectroscopy
  • Laser and Spectroscopy

TDTR is a modality of femtosecond pump/probe spectroscopy. It is used to observe optically-induced changes in optical properties on a 100’s of fs time-scale. Primarily applied to study thermal properties of thin films and across interfaces, our two custom-built instruments, have accessories to allow for time-resolved magneto-optical Kerr-effect measurements. These instruments are based on Spectra-Physics Tsunami ultrafast Ti:sapphire lasers.

See More Details

Varian Cary 5G and Agilent Cary 5000
  • Julio Antonio Nieri D Soares soares
  • Mohammad Amdad Ali mali85
148 MRL
  • Spectroscopy
  • Laser and Spectroscopy

The Cary spectrophotometers are able to do measurements of transmission and reflection in the UV, visible, and near-IR (190 nm to 3300 nm). Modes of measurement include transmission, absolute specular reflection, integrated total fluorescence, diffuse transmission (190 nm to 2500 nm), diffuse reflection, and combined diffuse reflection and transmission (190 nm to 2500 nm).

See More Details

Zeiss Axiovert Inverted Optical Microscope
  • Kristen M Flatt flatt1
  • Julio Antonio Nieri D Soares soares
  • Ying He yinghe2
0014 Supercon
  • Microscopy
  • Laser and Spectroscopy

Conventional widefield inverted materials microscope equipped with C-DIC, TIC, polarization, brightfield, and darkfield in reflected or transmitted illumination.

See More Details

Nanophoton Raman 11
  • Julio Antonio Nieri D Soares soares
  • Mohammad Amdad Ali mali85
0022 Supercon
  • Microscopy
  • Spectroscopy
  • Laser and Spectroscopy

Fast high-resolution confocal Raman/PL microscopy with excitation at 532 nm and 785 nm.

See More Details

Neaspec Nano IR-NIM AFM, SSNOM
  • Julio Antonio Nieri D Soares soares
  • Kathy Walsh kawalsh
0022 Supercon
  • Atomic Force Microscopy (AFM)
  • Microscopy
  • Scanning Probe Optical Spectroscopy
  • Spectroscopy
  • Laser and Spectroscopy
  • Scanning Probe Microscopy

Chemical information from FTIR near-field reflection imaging and topographic characterization with 20 nm spatial resolution

See More Details

JEOL 7000F Analytical SEM
  • Jade Wang zyw
  • Changqiang Chen cqchen
0020 Supercon
  • Scanning Electron Microscopy (SEM)
  • Electron Microscopy

The JSM-7000F SEM offers very high resolution, a multi-purpose specimen chamber, a motorized automated specimen stage, one-action specimen exchange, and ideal analytical geometry with large probe current at small probe diameter to meet the needs for high performance characterization of nanostructures using techniques including EDS, WDS, EBSD, and CL.

See More Details

Hitachi S-4800 High Resolution SEM
  • Ying He yinghe2
  • Rajen Basu rnb6
0013 Supercon
  • Scanning Electron Microscopy (SEM)
  • Electron Microscopy

As of November 2021, the Hitachi S4800 now has powerful EDS capability with the installation of Oxford’s Ultim Max 100mm2 large area silicon drift detector.  It allows video rate electron and chemical imaging in real time with live tracing features to remember where you already looked and what elements were located there.

The Hitachi S-4800 SEM features: - High Resolution Low Voltage imaging - Beam deceleration (ultra-low landing voltages (100-500 V) for shallow surface topography) - Controlled signal mixing (combination of secondary electron and back scattered electron) - Pure BSE imaging at low voltages

See More Details

JEOL JSM-6060LV Low-Vacuum SEM
  • Jade Wang zyw
0021 Supercon
  • Scanning Electron Microscopy (SEM)
  • Electron Microscopy

The JSM-6060LV is a general purpose high-performance scanning electron microscope with excellent secondary electron imaging and backscattered electron Imaging resolution.

See More Details

FEI Helios 600i Dual Beam SEM/FIB
  • Honghui Zhou hhzhou
  • Changqiang Chen cqchen
B58 MRL
  • Focused Ion Beam (FIB) FIB/SEM DualBeam Systems
  • Electron Microscopy

The Helios NanoLab 600i is an advanced SEM/FIB DualBeam™ workstation for ultra-high resolution imaging and focussed Ga+ ion beam milling for nano-prototyping, nano-machining, nano-analysis, and sample preparation for TEM and atom probe.

See More Details

Hitachi H-9500 Dynamic Environmental TEM
  • Changqiang Chen cqchen
  • Wacek Swiech wswiech
B62A MRL
  • Transmission and Scanning Transmission Electron Microscopy (TEM/STEM)
  • Electron Microscopy

The H-9500 is a high resolution 100-300 kV ETEM with a LaB6 electron gun equipped for study of dynamic material reactions down to the atomic scale.

See More Details

JEOL 2100 CRYO TEM
  • Wacek Swiech wswiech
  • Changqiang Chen cqchen
  • Kristen M Flatt flatt1
0011 Supercon
  • Transmission and Scanning Transmission Electron Microscopy (TEM/STEM)
  • Electron Microscopy

The 2100 Cryo is a conventional TEM with large pole piece gap. It is optimized for BF/DF imaging, diffraction and high sample tilts. Also capable of imaging ultrathin biological tissue sections. It operates at 200kV. The 2100 Cryo is equipped with a combined video rate/slow scan camera for real time imaging and final image recording. It has a low-dose mode. A high tilt is available with +/-70 degrees using a special tip for the single tilt holder. Cryo transfer stage, double tilt heating, and liquid N2 stages are available.

See More Details

JEOL 2010 LAB6 TEM
  • Wacek Swiech wswiech
  • Changqiang Chen cqchen
0017 Supercon
  • Transmission and Scanning Transmission Electron Microscopy (TEM/STEM)
  • Electron Microscopy

The 2010LaB6 is a conventional TEM. It is optimized for BF/DF imaging, diffraction and high sample tilts.

See More Details

GATAN Cryo Precision Ion Polishing System (Cryo-PIPS)
  • Changqiang Chen cqchen
B59 MRL
  • EM Support Instruments
  • Electron Microscopy

Gatan Cryo-PIPS has additional capabilities in addition to the features of the Gatan PIPS

See More Details

Lindberg 3 Zone Furnace-2 inch
  • Lon Alan Westfall lonw
  • Gregory MacDougall gmacdoug
328 MRL
  • Furnace Equipment
  • Micro/Nano Fabrication and Cleanroom

Lindberg Three Zone 2 Inch - Tube oxidation/annealing furnace – max temperature 1100°C

See More Details

Oriel Re-Exposure Unit
  • Xiaoli Wang xlwang84
387 MRL
  • Lithography
  • Micro/Nano Fabrication and Cleanroom
Headway PWM32 Wafer Spin Coater
  • Pengcheng Sun pcsun
388 MRL
  • Lithography
  • Micro/Nano Fabrication and Cleanroom
Yield Engineering HMDS Vapor Prime Oven-Cleanroom
  • Xiaoli Wang xlwang84
388 MRL
  • Lithography
  • Micro/Nano Fabrication and Cleanroom
Yield Engineering Vacuum Curing Oven
  • Lon Alan Westfall lonw
  • Gregory MacDougall gmacdoug
328 MRL
  • Micro/Nano Fabrication and Cleanroom
Kurt J. Lesker Nano36 Thermal Evaporator System
  • Toyanath Joshi tojoshi
  • Xiaoli Wang xlwang84
326 MRL
  • Deposition Tools
  • Micro/Nano Fabrication and Cleanroom

The Kurt J. Lesker Nano36 will evaporate a metal film under high vacuum while measuring the thickness in-sit by a thickness monitor. Up to three different materials can be loaded in one batch, and the evaporation sources are sufficiently isolated from each other by shields to prevent cross contamination.Currently the system is configured for Au, Ag, Cu and Cr evaporation. Due to the limited capacity of tungsten boat and rod, the thickness limit is 200nm/boat for Au, Ag, Cu, and 40nm/rod for Cr.

See More Details

Plasma-Therm Plasma Enhanced Chemical Vapor Deposition System (PECVD)
  • Toyanath Joshi tojoshi
  • Xiaoli Wang xlwang84
342 MRL
  • Deposition Tools
  • Micro/Nano Fabrication and Cleanroom

Plasma-Therm plasma enhanced chemical vapor deposition (PECVD) system is used for depositing Si3N4 dielectric films. The silicon nitride film is typically used for capacitor dielectrics, chemical passivation layers, electrical insulators, reactive ion etching masks, and optical anti-reflective coatings.

See More Details

Savannah S100 Atomic Layer Deposition Cambridge Nanotech
  • Pengcheng Sun pcsun
  • Xiaoli Wang xlwang84
326 MRL
  • Deposition Tools
  • Micro/Nano Fabrication and Cleanroom

The Savannah S100 ALD systems deliver outstanding deposition results and provide maximum experimental flexibility for ALD research, development, and production applications.

See More Details

AJA Orion-8 Magnetron Sputtering System
  • Toyanath Joshi tojoshi
  • Pengcheng Sun pcsun
336 MRL
  • Deposition Tools
  • Micro/Nano Fabrication and Cleanroom

This equipment was funded through the Illinois MRSEC, NSF Award Number DMR-2309037.

The AJA Orion-8 Magnetron Sputtering System is a high performance thin film deposition tool meant to deliver maximum performance and results for our research community. The system is equipped with a fast-cycle load-lock system which eliminates the need to vent the process chamber to load substrates, reducing the pump down time and contaminations of targets and interior chamber surfaces. It utilizes a motorized rotating substrate holder to achieve excellent uniformity. This machine is featured with complete recipe control to deliver best process reproducibility.

See More Details

Plasma-Therm 790 MF Reactive Ion Etch (RIE) Plasma System
  • Xiaoli Wang xlwang84
  • Toyanath Joshi tojoshi
342 MRL
  • Dry Etching
  • Micro/Nano Fabrication and Cleanroom

Plasma-therm 790 MF is a stand-alone Reactive Ion Etching (RIE) system with showerhead gas distribution and water cooled RF platen. The chamber could reach a base pressure in the 3x10-5Torr range, and it can be operated in the pressure range of 10mTorr to 100mTorr. The following gases are installed: CF4, CHF3, SF6 and O2.The flow rate for each gas is set by a mass-flow controller (MFC), and the pressure is controlled separately by a throttle valve between the chamber and the pump. All system functions are controlled from the computer. The control program has both manual and automatic modes.

See More Details

Nano-Master Reactive Ion Etch (RIE) Plasma System
  • Xiaoli Wang xlwang84
  • Toyanath Joshi tojoshi
342 MRL
  • Dry Etching
  • Micro/Nano Fabrication and Cleanroom

Nano-master NPC 3000 is a bench-top Reactive Ion Etching (RIE) system with showerhead gas distribution and water cooled RF platen. It is designed to meet a wide range of needs including sample cleaning, photoresist stripping, surface modification, polymer etching and silicon etching. The chamber opens from the top for wafer loading or unloading. It can accept up to 8" (200 mm) wafers.

See More Details

Nordson March Reactive Ion Etch (RIE) Plasma System 1 & 2
  • Xiaoli Wang xlwang84
  • Toyanath Joshi tojoshi
342 MRL
  • Dry Etching
  • Micro/Nano Fabrication and Cleanroom

March CS-1701 reactive ion etcher is a bench-top system with water cooled RF platen. It is capable of etching photoresist, polymer and graphene. This system could be operated under manual mode or auto mode.

See More Details

Commonwealth Scientific Ion Milling & Thermal Evaporator System
  • Toyanath Joshi tojoshi
  • Lon Alan Westfall lonw
334 MRL
  • Deposition Tools
  • Dry Etching
  • Micro/Nano Fabrication and Cleanroom

The Commonwealth Scientific Ion Milling & Thermal Evaporator System is a unique system which integrates the ion milling and thermal evaporator capabilities into one system.

See More Details

Acid Fume Hood
  • Xiaoli Wang xlwang84
359 MRL
  • Wet Processing
  • Micro/Nano Fabrication and Cleanroom

MRL provides the following acids for cleanroom users. This fume hood includes DI water, nitrogen gun and hot plate.

See More Details

Metal Etchant Fume Hood
  • Xiaoli Wang xlwang84
387 MRL
  • Wet Processing
  • Micro/Nano Fabrication and Cleanroom

MRL provides the following metal etchants for cleanroom users: - Copper Etchant CE-100 - Chromium Etchant 9057 - Iron Oxide Mask Etchant ME-9028 - Gold Etchant Type TFA

See More Details

Solvent Fume Hood or Developer Fume Hood
  • Xiaoli Wang xlwang84
384 MRL
  • Wet Processing
  • Micro/Nano Fabrication and Cleanroom

The solvent or developer fume hoods include DI water, nitrogen gun and ultrasonic bath.

See More Details

Nanoscribe Photonic Professional GT 3D Printer
  • Xiaoli Wang xlwang84
  • Pengcheng Sun pcsun
335 MRL
  • Lithography
  • Micro/Nano Fabrication and Cleanroom

The next generation 3D laser lithography system, MRL’s Nanoscribe sets new standards in 3D micro printing and maskless lithography.

See More Details

MJB3 Mask Aligner
  • Xiaoli Wang xlwang84
313 MRL
  • Lithography
  • Micro/Nano Fabrication and Cleanroom

The Karl Suss MJB_3 is a contact aligner used to expose the photoresist on samples from small pieces of 1 cm2 to substrates of 3-inch diameter or square and 300um-1mm in thickness. Masks up to 3 inches square. The resolution depends on contact mode, optics, exposure wavelength and "operator technique". Alignment is performed manually by manipulating micrometers while observing the sample and mask with a microscope.

 

See More Details

MJB4 Mask Aligner
  • Xiaoli Wang xlwang84
313 MRL
  • Lithography
  • Micro/Nano Fabrication and Cleanroom
Tube furnace - 6 inch
  • Lon Alan Westfall lonw
  • Gregory MacDougall gmacdoug
328 MRL
  • Diffusion / Annealing Furnaces
  • Furnace Equipment
  • Micro/Nano Fabrication and Cleanroom

6" Tube oxidation furnace – max temperature 1100°C

See More Details

Reactor Vacuum Furnace (RVF)
  • Lon Alan Westfall lonw
  • Gregory MacDougall gmacdoug
322 MRL
  • Furnace Equipment
  • Micro/Nano Fabrication and Cleanroom

Reactor Vacuum Furnace - 1” tube furnace for recording pressure, temperature, vacuum, and reaction products at up to 1200°C

See More Details

High Temperature CM furnace – max temperature 1600°C to 1700°C
  • Lon Alan Westfall lonw
  • Gregory MacDougall gmacdoug
328 MRL
  • Diffusion / Annealing Furnaces
  • Furnace Equipment
  • Micro/Nano Fabrication and Cleanroom

High Temperature CM furnace – max temperature 1600°C (long runs) to 1700°C (short runs)

See More Details

Rapid Thermal Annealing furnace (Custom) – max temperature 1000°C
  • Lon Alan Westfall lonw
  • Gregory MacDougall gmacdoug
328 MRL
  • Diffusion / Annealing Furnaces
  • Furnace Equipment
  • Micro/Nano Fabrication and Cleanroom

Rapid Thermal Annealing furnace (Custom) – max temperature 1000°C

See More Details

Ball Bonder (25 um Gold wire, K&S 4524A)
  • Mrinal Kanti Hota hotamk
  • Rafael Silva Goncalves rafaelgo
326 MRL
  • Bonding Stations
  • Micro/Nano Fabrication and Cleanroom
Wedge Bonder (25 um Aluminum wire, K&S 4523A)
  • Xiaoli Wang xlwang84
  • Gregory MacDougall gmacdoug
326 MRL
  • Bonding Stations
  • Micro/Nano Fabrication and Cleanroom
Siemens/Bruker D-5000 XRD System
  • Juan Sebastian Lopez jslopez
  • Mauro Sardela sardela
148 MRL
  • X-Ray Diffraction (XRD) and Scattering
  • X-Ray Analysis

The Siemens/Bruker D-5000 features: theta/theta vertical goniometer system with optional spinner (phi) rotation (Bragg Brentano configuration). Radiation /wavelength: Cu K-alpha, 0.15418 nm Main optics: soller slits, divergence slit, scatter slit, receiving slit, detector slit, curved graphite monochromator, scintillation detector

See More Details

Temperature-Controlled Cryogenic Vacuum Probe Station
  • Gregory MacDougall gmacdoug
326 MRL
  • Probe Stations
  • Micro/Nano Fabrication and Cleanroom

The LakeShore FWPX Cryogenic Probe Station is a great characterization tool for taking electrical measurements in a temperature range from 77 K  up to 475 K, and in a vacuum environment down to 10-5 Torr. The electrical signals are taken through the Agilent 4155C Semiconductor Parameter Analyzer which has 4 SMU units with a voltage output range of -100 to 100 V and a current output range of  -100 to 100 mA. Users can perform temperature-dependent measurements of I-V and quasi-static C-V curves, and other high and low frequency tests. An optical camera with 10 μm spatial resolution is available for sample alignment.

See More Details

PANalytical Phillips X’pert MRD system #2
  • Juan Sebastian Lopez jslopez
  • Mauro Sardela sardela
148 MRL
  • X-Ray Diffraction (XRD) and Scattering
  • X-Ray Analysis

The PANalytical MRD system #2 features: theta/2theta vertical four-circle system with phi, psi rotations and x,y,z sample translation, proportional detector and high-speed PIXcel line detector (pixel size 55 microns). Radiation / wavelength: Cu K-alpha, 0.15418 nm

See More Details

Small Angle X-Ray Scattering System with Pilatus 300 Detector
  • Juan Sebastian Lopez jslopez
  • Mauro Sardela sardela
148 MRL
  • X-Ray Diffraction (XRD) and Scattering
  • X-Ray Analysis

High-speed SAXS, WAXS, GI-SAXS, GI-WAXS data collection using a three-module Pilatus 300 detector with optional environmental controls.

See More Details

Bench Top Probe Station (Custom)
  • Gregory MacDougall gmacdoug
326 MRL
  • Probe Stations
  • Micro/Nano Fabrication and Cleanroom

The Probe Station facilitates electrical measurements at room temperature and in ambient conditions. Electrical contact is made via probe tips (5 µm tungsten standard) which are attached to micropositioners with 80 turns per inch resolution. The electrical signals are taken through the Agilent 4155C Semiconductor Parameter Analyzer which has 4 SMU units with a voltage output range of -100 to 100 V and a current output range of  -100 to 100 mA. Users can perform temperature-dependent measurements of I-V and quasi-static C-V curves, and other high and low frequency tests.

 

See More Details

4155c Semiconductor Parameter Analyzer (Agilent), used with Lake Shore and custom probe station
  • Gregory MacDougall gmacdoug
  • Lon Alan Westfall lonw
326 MRL
  • Probe Stations
  • Micro/Nano Fabrication and Cleanroom

The Agilent 4155C is an electronic instrument for measuring and analyzing the characteristics of semiconductor devices. This instrument allows you to perform both measurement and analysis of measurement results. The 4155C has four highly accurate source monitor units (SMUs), two voltage source units (VSUs), and two voltage measurement units (VMUs). It can perform three types of measurements: sweep measurement, sampling measurement, and quasi-static C-V measurement.

 

See More Details

Laue System with Multiwire 2D Detector
  • Gregory MacDougall gmacdoug
  • Juan Sebastian Lopez jslopez
148 MRL
  • X-Ray Diffraction (XRD) and Scattering
  • X-Ray Analysis

Back-scattering Laue with data acquisition using a fast 2D detector; sample stage with multi-axis rotations and full translation capabilities controlled by multi-function joystick allowing for real time sample movement/rotation with simultaneous data visualization; optical camera setup to allow for precision alignment of sub-mm crystals or exploration of the position dependence of diffraction patterns. Laue pattern processing and indexing using NorthStar Real-Time Orientation software.

See More Details

Shimadzu EDX-7000 Energy-Dispersive X-Ray Fluorescence Spectrometer
  • Juan Sebastian Lopez jslopez
  • Mohammad Amdad Ali mali85
148 MRL
  • X-Ray Diffraction (XRD) and Scattering
  • X-Ray Analysis

Fast measurements in a small, table-top foot print, automated analysis of up to 12 samples in a single run. Radiation: non-monochromatic or filtered Rh x-ray source

See More Details

Jandel 4-Point Probe Sheet Resistance Measurement
  • Gregory MacDougall gmacdoug
326 MRL
  • Additional Micro/Nanofabrication Resources
  • Micro/Nano Fabrication and Cleanroom

- Automatically calculate and display sheet resistance results - Self test against external precision resistor, 100Ω - Output currents up to 99.99mA and down to 10nA - Compliance voltage up to 40V - Accuracy of the instrument within 1% across whole range.

See More Details

Optical Microscopes with CCD cameras
  • Lon Alan Westfall lonw
326 MRL
  • Additional Micro/Nanofabrication Resources
  • Micro/Nano Fabrication and Cleanroom
NEC Pelletron Accelerator
  • Timothy Spila tspila
  • Wacek Swiech wswiech
130 MRL
  • Accelerator-based Techniques
  • Surface Analysis

The NEC Pelletron accelerator can accelerate H and He ions to 2 MeV for He+ and 3 MeV for He2+. There is a single beam line for RBS and ERDA experiments.

See More Details

Gaertner L116C
  • Julio Antonio Nieri D Soares soares
  • Mohammad Amdad Ali mali85
148 MRL
  • Ellipsometry
  • Laser and Spectroscopy

For single wavelength, multiple angle ellipsometry.

See More Details

J.A. Woollam VASE
  • Julio Antonio Nieri D Soares soares
  • Mohammad Amdad Ali mali85
148 MRL
  • Ellipsometry
  • Spectroscopy
  • Laser and Spectroscopy

For spectroscopic ellipsometry. - Measure optical constants and thickness of multilayers or bulk materials, as well as reflectance and transmission as a function of angle of incidence, polarization, and wavelength. - Wavelength range: 240 nm to 1700 nm; - Angle of incidence: 12° to 90°; Temperature: 10 K to 700 K

See More Details

Ramé-Hart Model 250 Contact Angle Goniometer
  • Julio Antonio Nieri D Soares soares
  • Mohammad Amdad Ali mali85
148 MRL
  • Contact Angle Goniometry
  • Laser and Spectroscopy

The Ramé-Hart Model 250 Contact Angle Goniometer / Tensiometer is equipped with a wide range of method-based interfacial analysis tools for working with pendant, inverted pendant, sessile, and captive bubble drops to obtain contact angle, surface energy, and surface and interfacial tension.

See More Details

Photoluminescence / Raman
  • Julio Antonio Nieri D Soares soares
  • Mohammad Amdad Ali mali85
0024 Supercon
  • Spectroscopy
  • Laser and Spectroscopy

Measures luminescent emission spectra upon photoexcitation (fluorescence and phosphorescence) and Raman scattering. Our custom-built experimental setup has discrete excitation wavelengths available in the range from 266 nm to 1050 nm. It allows for measurements from 4.2 K to 300 K using a liquid He bath cryostat.

See More Details

Physical Electronics Trift III
  • Timothy Spila tspila
B04 MRL
  • Secondary Ion Mass Spectrometry
  • Surface Analysis

The PHI TRIFT III is a Time of Flight SIMS, which uses a pulsed primary beam to generate secondary ions which are mass separated by the amount of time it takes for the secondary ion to reach the detector. This produces a full mass spectrum from each primary pulse. The primary strengths of TOF-SIMS are surface/near surface analysis with low detection limits, isotopic analysis, imaging, and rapid depth profiling. Sensitivity to hydrogen, lithium, and elemental isotopes allow for measurements on metal, semiconductor, polymer, and biological samples.

See More Details

Sloan Dektak3ST Profilometer
  • Kathy Walsh kawalsh
  • Timothy Spila tspila
B80 MRL
  • Surface Profilometry
  • Scanning Probe Microscopy
  • Surface Analysis

Surface profilometry is a contact measurement technique in which a diamond-tipped stylus is used to measure surface topography as it moves across the surface of a specimen.

See More Details

Discovery 2500 Differential Scanning Calorimeter (DSC)
  • Roddel Remy raremy
  • Mohammad Amdad Ali mali85
133 MRL
  • Center for Excellence in Soft Materials
  • Thermal Analysis and Soft Materials Characterization

Differential Scanning Calorimetry (DSC) measures heat effects associated with phase transitions and chemical reactions as a function of temperature.

See More Details

Q50 Thermogravimetric Analysis (TGA)
  • Roddel Remy raremy
  • Mohammad Amdad Ali mali85
133 MRL
  • Center for Excellence in Soft Materials
  • Thermal Analysis and Soft Materials Characterization

Thermogravimetric Analysis (TGA) measures weight changes in a material as a function of temperature (or time) under a controlled atmosphere. Its principal uses include measurement of a material's thermal stability and composition. The Q50 features a vertical beam balance design (sample capacity: 1000 mg) that supports precise TGA (balance sensitivity: 0.1 µg) measurements.

See More Details

Q800 Dynamic Mechanical Analysis (DMA)
  • Roddel Remy raremy
  • Mohammad Amdad Ali mali85
133 MRL
  • Center for Excellence in Soft Materials
  • Thermal Analysis and Soft Materials Characterization

Dynamic Mechanical Analysis (DMA) measures mechanical properties of samples. Its principal uses include measurement of a material's Young's modulus or viscoelastic properties as a function of temperature (or time). Testing can be performed in either tensile or cantilever bending geometries.

See More Details

Malvern Zetasizer
  • Roddel Remy raremy
133 MRL
  • Center for Excellence in Soft Materials
  • Thermal Analysis and Soft Materials Characterization

The Malvern Zetasizer Nano ZS is a multipurpose light scattering instrument. It is outfitted with a 633nm red laser and is designed to analyze nanoparticles, small molecules and polymers in liquid media. The instrument has a temperature range of 0 °C – 90 °C. Results are laid out in both table and report format; both of which can be exported at the end of the experiment. Available techniques and instrument accessories are detailed below.

See More Details

Asylum Research MFP-3D-Bio AFM
  • Kathy Walsh kawalsh
0026 Supercon
  • Atomic Force Microscopy (AFM)
  • MRL Carver BioMaker Laboratory
  • Scanning Probe Microscopy

This AFM is on an inverted optical brightfield/fluorescence microscope and is in a Biosafety Level 2 lab.

The MFP-3D-Bio from Asylum Research (with optional brightfield/fluorescence microscopy integration) features a closed-loop, low noise, high precision scanner for use with samples in air or fluid. Maximum lateral scan size is 90 µm x 90 µm, and maximum vertical range is 28 µm (closed loop, or 40 µm open loop).

See More Details

Asylum Research MFP-3D AFM
  • Kathy Walsh kawalsh
B12 MRL
  • Atomic Force Microscopy (AFM)
  • Scanning Probe Microscopy

These two AFMs from Asylum Research feature closed-loop, low noise, high precision scanners, with Q-controlled AC modes (with phase imaging), piezo response imaging, contact mode with lateral force, and detailed force-distance measurements. These systems allow scanning in air or liquid environments, and have extensive nanomanipulation and nanolithography capabilities. Maximum lateral scan size on these instruments is 90 µm x 90 µm, and maximum vertical range is 15 µm.

See More Details

Asylum Research Cypher
  • Kathy Walsh kawalsh
B12 MRL
  • Atomic Force Microscopy (AFM)
  • Scanning Probe Microscopy

The Cypher is a state-of-the-art AFM from Asylum Research, featuring exceptionally low noise and fast scanning capabilities in addition to advanced scanning modes in air or liquid environments. Maximum lateral scan size on this instrument is 30 µm x 30 µm, and maximum vertical range is 5 µm.

See More Details

Asylum Research Cypher STM
  • Kathy Walsh kawalsh
B12 MRL
  • Atomic Force Microscopy (AFM)
  • Scanning Probe Microscopy

In Scanning Tunneling Microscopy (STM), an electrically-biased tip is scanned very close to a surface (about an atomic diameter away). The current flow between the tip and the sample (due to quantum tunneling) strongly depends on the tip-surface gap; this relationship can be used to generate a surface topography map. Atomic-scale topographic resolution is achievable. All samples for STM must be at least somewhat electrically conductive.

STM mode on the Cypher serves in part as a testbed for determining whether STM is a viable experimental technique for samples before investing effort into UHV STM measurements. Additionally, the ambient conditions on this instrument permit STM measurements of samples which may not respond well to vacuum environments. This STM can do imaging and I-V curves but is not currently able to perform dI/dV measurements.

See More Details

Hysitron TI-950 TriboIndenter
  • Kathy Walsh kawalsh
  • Julio Antonio Nieri D Soares soares
0013 Supercon
  • Nanoindentation
  • Scanning Probe Microscopy

The Hysitron TI 950 TriboIndenter at the Materials Research Laboratory is a versatile instrument with capabilities beyond traditional quasistatic nanoindentation. In addition to a standard transducer which can apply micronewton-to-few-mN loads over a displacement range of several micrometers, a high load transducer allows indents to be made at loads of up to 2.8 N over a displacement of up to 90 microns.

See More Details